summaryrefslogtreecommitdiff
path: root/src/Makefile
blob: c0feb20740a42be02431fa8e9a6c5e5f3aa4341e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
#

CFLAGS+=-Wall

.PHONY: all clean

all: genjsbind

genjsbind: genjsbind.o genbind-parser.o genbind-lexer.o webidl-parser.o webidl-lexer.o
	$(CC) -o $@ $^

webidl-parser.o: webidl-parser.c webidl-parser.h webidl-lexer.h

webidl-parser.h webidl-parser.c: webidl-parser.y
	bison -t $<

webidl-lexer.h: webidl-lexer.c

webidl-lexer.c: webidl-lexer.l
	flex $<


genbind-parser.o: genbind-parser.c genbind-parser.h genbind-lexer.h

genbind-parser.h genbind-parser.c: genbind-parser.y
	bison -t $<

genbind-lexer.h: genbind-lexer.c

genbind-lexer.c: genbind-lexer.l
	flex $<


genjsbind.o: webidl-parser.h genbind-parser.h

clean:
	$(RM) genjsbind genjsbind.o webidl-parser.c webidl-lexer.c webidl-lexer.h webidl-parser.h genbind-parser.c genbind-lexer.c genbind-lexer.h genbind-parser.h *.o